000012858 001__ 12858
000012858 005__ 20170831220518.0
000012858 037__ $$aTAZ-TFM-2013-1076
000012858 041__ $$aspa
000012858 1001_ $$aIguaz Navarro, José Manuel
000012858 24500 $$aControl en FPGA de un convertidor reductor en modo corriente de pico
000012858 260__ $$aZaragoza$$bUniversidad de Zaragoza$$c2013
000012858 506__ $$aby-nc-sa$$bCreative Commons$$c3.0$$uhttp://creativecommons.org/licenses/by-nc-sa/3.0/
000012858 520__ $$aEl control en modo corriente de pico de convertidores continua-continua implementa dos lazos, uno interno de corriente y otro externo de tensión. Es uno de los más utilizados en las fuentes de alimentación conmutadas ya que limita la corriente de forma inherente y mejora la regulación respecto al control en modo tensión.  La mayor parte de los controles en modo corriente de pico se implementan analógicamente. El objetivo del presente trabajo es realizar un control digital de un convertidor reductor en modo corriente de pico. Este control incluye la técnica de control en modo tensión que ha sido visto en la asignatura "Control Digital de Etapas Electrónicas de Potencia" y complementa la formación recibida en el máster.  El control digital se describirá en VHDL y se implementará en una FPGA. Deberá incluir una rampa de compensación para que el funcionamiento sea estable para ciclo de trabajo mayor o igual que 0.5. El regulador digital elegido para el lazo externo de tensión será de tipo II. Su función de transferencia presenta un cero y dos polos, uno de los polos se posiciona en el origen para asegurar error de posición nulo.  Uno de los retos en la implementación de un control digital en modo corriente de pico es la comparación entre la rampa de compensación y la corriente por el inductor. Esta corriente cambia desde un valor mínimo hasta su valor máximo cada ciclo de conmutación. Una implementación digital de la comparación entre la rampa de compensación y la corriente, requeriría muestrear la corriente con un conversor A/D de gran ancho de banda. Por consiguiente, en este trabajo se analizarán alternativas que sean válidas para su implementación en FPGA.
000012858 521__ $$aMáster Universitario en Ingeniería Electrónica
000012858 540__ $$aDerechos regulados por licencia Creative Commons
000012858 6531_ $$acontrol corriente de pico
000012858 6531_ $$aconvertidor reductor
000012858 6531_ $$afpga
000012858 700__ $$aArtigas Maestre, José Ignacio$$edir.
000012858 700__ $$aBarragán Pérez, Luis Ángel$$edir.
000012858 7102_ $$aUniversidad de Zaragoza$$bIngeniería Electrónica y Comunicaciones$$cTecnología Electrónica
000012858 8560_ $$f526008@celes.unizar.es
000012858 8564_ $$s5695819$$uhttps://zaguan.unizar.es/record/12858/files/TAZ-TFM-2013-1076.pdf$$yMemoria (spa)
000012858 909CO $$ooai:zaguan.unizar.es:12858$$pdriver$$ptrabajos-fin-master
000012858 950__ $$a
000012858 980__ $$aTAZ$$bTFM$$cEINA